CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl CRC16

搜索资源列表

  1. crc_pkg

    0下载:
  2. VHDL语言实现的CRC校验,函数形式,包括CRC4,CRC8,CRC16和CRC32
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2040
    • 提供者:李浩
  1. crc.zip CRC校验程序

    2下载:
  2. CRC校验程序,使用了CRC-16和CRC-CCITT方法 ,CRC inspection program, which use crc-16 and crc-ccitt method
  3. 所属分类:加密解密

    • 发布日期:2016-01-25
    • 文件大小:1311
    • 提供者:
  1. crc16

    0下载:
  2. crc16 module for SDIO DAT line calculation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:590
    • 提供者:kantengri
  1. crc16

    0下载:
  2. 16bit CRC for 8bits data
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-11
    • 文件大小:870
    • 提供者:苗淼
  1. CRC_16

    0下载:
  2. crc16的串行和并行写法,而且有详细的测试文件-Serial and parallel crc16 written, and detailed test documents
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:20118
    • 提供者:Jammy
  1. usb_latest[1].tar

    1下载:
  2. sub opercore USB CRC5 and CRC16 Modules //// //// //// //// //// //// Author: Rudolf Usselmann //// //// rudi@asics.ws //// //// //// //// //// //// Downloaded from: http://www.opencores.org/cores/usb/-sub opercore USB CRC5 and CRC16 Mo
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:196219
    • 提供者:hajc
  1. crc16_8

    0下载:
  2. crc16,数据位宽为8,verilog编码-crc16 ,datawidth is 8,coding by verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1219
    • 提供者:chenk
  1. crc_peripheral

    0下载:
  2. -- crc.vhd -- Used for calculation of CRC16-CCITT -- Intended use is as custom peripheral for Nios processor -- When address is logic 0 => -- Internal CRC register is initialised with write_data value -- When address is logic 1 =>
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:1165
    • 提供者:Jan Petak
  1. CRC16_VHDL

    0下载:
  2. CRC16 VHDL component implements sequential algorithm for incoming data CRC16 calculation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2710
    • 提供者:Dmitry
  1. CRC16-0_5_12_16

    0下载:
  2. 包含16位CRC的并行实现和串行实现,并有测试程序。-Includes 16-bit CRC of the parallel and serial implementation to achieve, and test procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2910
    • 提供者:程显雯
  1. crc16

    0下载:
  2. 16位的CRC校验 使用VHDL实现 有几个模块 主模块 接收模块 测试模块-16-bit CRC checksum VHDL implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:300632
    • 提供者:李晓倩
  1. crc16

    0下载:
  2. 一个实现CRC16的VHDL代码,以及说明CRC计算的原理和方法。(a VHDL code for CRC16.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:7168
    • 提供者:camelcc
搜珍网 www.dssz.com